From 9cac825d859e6f72692de4f9cf619985d2b91ceb Mon Sep 17 00:00:00 2001 From: Dimitri Sokolyuk Date: Sat, 3 Nov 2012 16:37:18 +0000 Subject: rename wait -> lock, signal -> unlock --- kernel/adc.c | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/kernel/adc.c b/kernel/adc.c index 26c002f..551db1e 100644 --- a/kernel/adc.c +++ b/kernel/adc.c @@ -37,9 +37,9 @@ adc(void *arg) ADCSRA |= _BV(ADSC); loop_until_bit_is_clear(ADCSRA, ADSC); - wait(Adc); + lock(Adc); a->value[i] = ADCH; /* ADLAR: 8-bit */ - signal(Adc); + unlock(Adc); } #if 0 -- cgit v1.2.3