From ca039de2f8ab939bc844603b3d4f5b4d02a02894 Mon Sep 17 00:00:00 2001 From: Dimitri Sokolyuk Date: Mon, 20 Feb 2017 16:15:19 +0100 Subject: Initial import --- testdata/cat.mb | 17 +++++++++++++++++ 1 file changed, 17 insertions(+) create mode 100644 testdata/cat.mb (limited to 'testdata/cat.mb') diff --git a/testdata/cat.mb b/testdata/cat.mb new file mode 100644 index 0000000..58c9468 --- /dev/null +++ b/testdata/cat.mb @@ -0,0 +1,17 @@ +(aBA@?>=<;:9876543210/.-,JH)('&%$#"!~}|{zy\J6utsrq +ponmlkjihgJ%dcba`_^]\[ZYXWVUTSRQPONMLKJIHGF('C%$$^ +K~<;4987654321a/.-,\*) +j +!~%|{zya}|{zyxwvutsrqSonmlO +jLhg`edcba`_^]\[ZYXWV8TSRQ4 +ONM/KJIBGFE>CBA@?>=<;{9876w +43210/.-m+*)('&%$#"!~}|{zy\ +wvunslqponmlkjihgfedcEa`_^A +\>ZYXWPUTSRQPONMLKJIH*FEDC& +A@?>=<;:9876543210/.-m+*)(i +&%$#"!~}|{zyxwvutsrqpRnmlkN +ihgfedcba`_^]\[ZYXWVU7SRQP3 +NMLKJIHGFEDCBA@?>=<;:z8765v +3210/.-,+*)('&%$#"!~}_{zyx[ +vutsrqjonmlejihgfedcba`_^]@ +[ZYXWVUTSRo -- cgit v1.2.3