aboutsummaryrefslogtreecommitdiff
path: root/j1/verilog/top.v
diff options
context:
space:
mode:
Diffstat (limited to 'j1/verilog/top.v')
m---------j10
-rw-r--r--j1/verilog/top.v9
2 files changed, 9 insertions, 0 deletions
diff --git a/j1 b/j1
deleted file mode 160000
-Subproject 911439641c002a8f7a6e306ce1b1d3fd4b389fd
diff --git a/j1/verilog/top.v b/j1/verilog/top.v
new file mode 100644
index 0000000..efcf297
--- /dev/null
+++ b/j1/verilog/top.v
@@ -0,0 +1,9 @@
+module top(
+ input clk,
+ input resetq,
+ output [15:0] tail);
+ parameter FIRMWARE = "<firmware>";
+
+ j1 _j1 (.clk(clk), .resetq(resetq));
+
+endmodule