aboutsummaryrefslogtreecommitdiff
path: root/amforth-6.5/avr8/words/fetch.asm
blob: 6cd2f2b42e180088b9d02c80d225797bed088cb0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
; ( a-addr -- n ) 
; Memory
; read 1 cell from RAM address
VE_FETCH:
    .dw $ff01
    .db "@",0
    .dw VE_HEAD
    .set VE_HEAD = VE_FETCH
XT_FETCH:
    .dw PFA_FETCH
PFA_FETCH:
.if WANT_UNIFIED == 1
    ldi  zh, high(RAMEND)
    ldi  zl, low(RAMEND)
    cp  tosl, zl
    cpc tosh, zh
    brlt PFA_FETCHRAM
    brbs 1, PFA_FETCHRAM
    rjmp PFA_FETCHOTHER
.endif
PFA_FETCHRAM:
    movw zl, tosl
    ; low byte is read before the high byte
    ld tosl, z+
    ld tosh, z+
    jmp_ DO_NEXT
.if WANT_UNIFIED == 1
PFA_FETCHOTHER:
    adiw zl, 1
    sub tosl, zl
    sbc tosh, zh
    jmp_ PFA_FETCHE
.endif