aboutsummaryrefslogtreecommitdiff
path: root/docs/j1/verilog/top.v
diff options
context:
space:
mode:
Diffstat (limited to 'docs/j1/verilog/top.v')
-rw-r--r--docs/j1/verilog/top.v9
1 files changed, 9 insertions, 0 deletions
diff --git a/docs/j1/verilog/top.v b/docs/j1/verilog/top.v
new file mode 100644
index 0000000..efcf297
--- /dev/null
+++ b/docs/j1/verilog/top.v
@@ -0,0 +1,9 @@
+module top(
+ input clk,
+ input resetq,
+ output [15:0] tail);
+ parameter FIRMWARE = "<firmware>";
+
+ j1 _j1 (.clk(clk), .resetq(resetq));
+
+endmodule