aboutsummaryrefslogtreecommitdiff
path: root/j1/verilog/common.h
diff options
context:
space:
mode:
Diffstat (limited to 'j1/verilog/common.h')
-rw-r--r--j1/verilog/common.h3
1 files changed, 0 insertions, 3 deletions
diff --git a/j1/verilog/common.h b/j1/verilog/common.h
deleted file mode 100644
index 03da65d..0000000
--- a/j1/verilog/common.h
+++ /dev/null
@@ -1,3 +0,0 @@
-`default_nettype none
-`define WIDTH 32
-`define DEPTH 4