aboutsummaryrefslogtreecommitdiff
path: root/j1/verilog/common.h
blob: 03da65d3a7a6e91d580eb85722bd517c0b3beb0c (plain)
1
2
3
`default_nettype none
`define WIDTH 32
`define DEPTH 4