aboutsummaryrefslogtreecommitdiff
path: root/j1/verilog/top.v
diff options
context:
space:
mode:
Diffstat (limited to 'j1/verilog/top.v')
-rw-r--r--j1/verilog/top.v9
1 files changed, 0 insertions, 9 deletions
diff --git a/j1/verilog/top.v b/j1/verilog/top.v
deleted file mode 100644
index efcf297..0000000
--- a/j1/verilog/top.v
+++ /dev/null
@@ -1,9 +0,0 @@
-module top(
- input clk,
- input resetq,
- output [15:0] tail);
- parameter FIRMWARE = "<firmware>";
-
- j1 _j1 (.clk(clk), .resetq(resetq));
-
-endmodule