aboutsummaryrefslogtreecommitdiff
path: root/j1/verilog/top.v
blob: efcf29716fa1b54f546ae11eea6065c33efb92f2 (plain)
1
2
3
4
5
6
7
8
9
module top(
  input clk,
  input resetq,
  output [15:0] tail);
  parameter FIRMWARE = "<firmware>";

  j1 _j1 (.clk(clk), .resetq(resetq));

endmodule