aboutsummaryrefslogtreecommitdiff
path: root/docs/j1/verilog/common.h
diff options
context:
space:
mode:
authorDimitri Sokolyuk <demon@dim13.org>2019-10-30 20:04:56 +0100
committerDimitri Sokolyuk <demon@dim13.org>2019-10-30 20:04:56 +0100
commita76977af62010a392c16010c367185e61e856ffe (patch)
tree56cf4177d5bc0e3ead781d1c60818c13b1df0f3c /docs/j1/verilog/common.h
parentc0165d167d7cb40d80028bcf7a4a6b160b5a7e83 (diff)
mv to docs
Diffstat (limited to 'docs/j1/verilog/common.h')
-rw-r--r--docs/j1/verilog/common.h3
1 files changed, 3 insertions, 0 deletions
diff --git a/docs/j1/verilog/common.h b/docs/j1/verilog/common.h
new file mode 100644
index 0000000..03da65d
--- /dev/null
+++ b/docs/j1/verilog/common.h
@@ -0,0 +1,3 @@
+`default_nettype none
+`define WIDTH 32
+`define DEPTH 4