aboutsummaryrefslogtreecommitdiff
path: root/docs/j1/verilog/common.h
diff options
context:
space:
mode:
Diffstat (limited to 'docs/j1/verilog/common.h')
-rw-r--r--docs/j1/verilog/common.h3
1 files changed, 3 insertions, 0 deletions
diff --git a/docs/j1/verilog/common.h b/docs/j1/verilog/common.h
new file mode 100644
index 0000000..03da65d
--- /dev/null
+++ b/docs/j1/verilog/common.h
@@ -0,0 +1,3 @@
+`default_nettype none
+`define WIDTH 32
+`define DEPTH 4